PFOS and PFOA Conversion to Short-Chain PFAS-Containing Materials Used in Semiconductor Manufacturing

…PFOA. Please fill out the form below to download your copy: PFOS and PFOA Conversion to Short-Chain PFAS-Containing Materials Used in Semiconductor Manufacturing Name(Required) First Last Company(Required) Title(Required) Email(Required) CAPTCHA…

PFAS Release Mapping from Semiconductor Assembly, Test and Packaging Processes

  Please fill out the form below to download your copy: PFAS Release Mapping from Semiconductor Assembly, Test and Packaging Processes Name(Required) First Last Company(Required) Title(Required) Email(Required) CAPTCHA…

The Impact of a Potential PFAS Restriction on the Semiconductor Sector

  Please fill out the form below to download your copy: The Impact of a Potential PFAS Restriction on the Semiconductor Sector Name(Required) First Last Company(Required) Title(Required) Email(Required) CAPTCHA…

PFAS-Containing Surfactants Used in Semiconductor Manufacturing

…vital to enabling surface coat uniformity, complete resist removal with low defectivity, improved line roughness and reduced line collapse. This paper presents case studies on the removal of PFAS-containing surfactants…

Blog: 01/31/18

Our 2018 Policy Plan to Drive U.S. Semiconductor Industry Growth and Innovation

…is to promote U.S. economic growth and innovation, while allowing American businesses to compete on a more level playing field with our competitors abroad. [DOWNLOAD SIA’S 2018 POLICY ONE-PAGER] Research:…

Blog: 03/09/20

Report Shows Risks of Excessive Restrictions on Trade with China

…at the center of debate in Washington and capitals around the world. [DOWNLOAD THE BCG REPORT] Titled “How Restrictions to Trade with China Could End U.S. Leadership in Semiconductors,” the…

The Socio-economic Impact of a Potential PFAS Restriction on the Semiconductor Value Chain in Europe

  Please fill out the form below to download your copy: The Socio-economic Impact of a Potential PFAS Restriction on the Semiconductor Value Chain in Europe Name(Required) First Last Company(Required)…

PFAS-Containing Heat Transfer Fluids Used in Semiconductor Manufacturing

…of research and development (R&D). Please fill out the form below to download your copy: PFAS-Containing Heat Transfer Fluids Used in Semiconductor Manufacturing Name(Required) First Last Company(Required) Title(Required) Email(Required) CAPTCHA…

Analysis of Conventional (PFAS-Based) and Alternative (Reduced-PFAS and Non-PFAS-Based) Lubricants for Semiconductor Manufacturing Applications

  Please fill out the form below to download your copy: Analysis of Conventional (PFAS-Based) and Alternative (Reduced-PFAS and Non-PFAS-Based) Lubricants for Semiconductor Manufacturing Applications Name(Required) First Last Company(Required) Title(Required)…

Blog: 06/11/20

Sparking Innovation: How Federal Investments in Semiconductor Research Strengthen America’s Economy and Tech Leadership

…generating economic growth and maintaining U.S. technology leadership. [DOWNLOAD THE REPORT] According to the report, each additional dollar invested in federal semiconductor research increases U.S. gross domestic product by $16.50….

Blog: 02/11/19

SIA Welcomes Executive Order Launching ‘American AI Initiative’

…in this burgeoning technology. [DOWNLOAD SIA’S AI WHITE PAPER] The rapid adoption of AI is taking place across a range of sectors, including healthcare, transportation, law enforcement, finance, and manufacturing….

PFAS-Containing Wet Chemistries Used in Semiconductor Manufacturing

…either a -CF2- or -CF3 moiety. Please fill out the form below to download your copy: PFAS-Containing Wet Chemistries Used in Semiconductor Manufacturing Name(Required) First Last Company(Required) Title(Required) Email(Required) CAPTCHA…